blob: e6f2e081d0af796678845c59116d4a7321d60da0 [file] [log] [blame]
*******************************************************************************
* Licensed to the Apache Software Foundation (ASF) under one or more
* contributor license agreements. See the NOTICE file distributed with
* this work for additional information regarding copyright ownership.
* The ASF licenses this file to You under the Apache License, Version 2.0
* (the "License"); you may not use this file except in compliance with
* the License. You may obtain a copy of the License at
*
* http://www.apache.org/licenses/LICENSE-2.0
*
* Unless required by applicable law or agreed to in writing, software
* distributed under the License is distributed on an "AS IS" BASIS,
* WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
* See the License for the specific language governing permissions and
* limitations under the License.
********************************************************************************
RDC Directory Layout:
./ RDC parent POM, DOAP etc.
src/ The main site for the RDC taglib
taglibs-rdc/ Module for the RDC taglib jar
taglibs-rdc-examples/ Module for the RDC taglib examples webapp
taglibs-rdc-dist/ Module for building the RDC distributions