blob: d8dbe146d2832e9e67ef1530e7b48f2ba00406d7 [file] [log] [blame]
<!DOCTYPE HTML>
<html lang="en">
<head>
<!-- Generated by javadoc (21) on Thu Oct 05 19:54:57 CEST 2023 -->
<title>org.apache.sis.coverage (Apache SIS 1.4 API)</title>
<meta name="viewport" content="width=device-width, initial-scale=1">
<meta http-equiv="Content-Type" content="text/html; charset=UTF-8">
<meta name="dc.created" content="2023-10-05">
<meta name="description" content="declaration: module: org.apache.sis.feature, package: org.apache.sis.coverage">
<meta name="generator" content="javadoc/PackageWriterImpl">
<meta name="keywords" content="org.apache.sis.coverage package">
<link rel="stylesheet" type="text/css" href="../../../../../stylesheet.css" title="Style">
<link rel="stylesheet" type="text/css" href="../../../../../sis.css" title="Style">
<link rel="stylesheet" type="text/css" href="../../../../../script-dir/jquery-ui.min.css" title="Style">
<script type="text/javascript" src="../../../../../script.js"></script>
<script type="text/javascript" src="../../../../../script-dir/jquery-3.6.1.min.js"></script>
<script type="text/javascript" src="../../../../../script-dir/jquery-ui.min.js"></script>
</head>
<body class="package-declaration-page">
<script type="text/javascript">var pathtoroot = "../../../../../";
loadScripts(document, 'script');</script>
<noscript>
<div>JavaScript is disabled on your browser.</div>
</noscript>
<div class="flex-box">
<header role="banner" class="flex-header">
<nav role="navigation">
<!-- ========= START OF TOP NAVBAR ======= -->
<div class="top-nav" id="navbar-top"><button id="navbar-toggle-button" aria-controls="navbar-top" aria-expanded="false" aria-label="Toggle navigation links"><span class="nav-bar-toggle-icon">&nbsp;</span><span class="nav-bar-toggle-icon">&nbsp;</span><span class="nav-bar-toggle-icon">&nbsp;</span></button>
<div class="skip-nav"><a href="#skip-navbar-top" title="Skip navigation links">Skip navigation links</a></div>
<ul id="navbar-top-firstrow" class="nav-list" title="Navigation">
<li><a href="../../../../../index.html">Overview</a></li>
<li><a href="../../../../module-summary.html">Module</a></li>
<li class="nav-bar-cell1-rev">Package</li>
<li>Class</li>
<li><a href="package-tree.html">Tree</a></li>
<li><a href="../../../../../deprecated-list.html">Deprecated</a></li>
<li><a href="../../../../../index-all.html">Index</a></li>
<li><a href="../../../../../help-doc.html#package">Help</a></li>
</ul>
<ul class="sub-nav-list-small">
<li>
<p>Package:</p>
<ul>
<li><a href="#package-description">Description</a></li>
<li><a href="#related-package-summary">Related Packages</a></li>
<li><a href="#class-summary">Classes and Interfaces</a></li>
</ul>
</li>
</ul>
</div>
<div class="sub-nav">
<div id="navbar-sub-list">
<ul class="sub-nav-list">
<li>Package:&nbsp;</li>
<li><a href="#package-description">Description</a>&nbsp;|&nbsp;</li>
<li><a href="#related-package-summary">Related Packages</a>&nbsp;|&nbsp;</li>
<li><a href="#class-summary">Classes and Interfaces</a></li>
</ul>
</div>
<div class="nav-list-search"><a href="../../../../../search.html">SEARCH</a>
<input type="text" id="search-input" disabled placeholder="Search">
<input type="reset" id="reset-button" disabled value="reset">
</div>
</div>
<!-- ========= END OF TOP NAVBAR ========= -->
<span class="skip-nav" id="skip-navbar-top"></span></nav>
</header>
<div class="flex-content">
<main role="main">
<div class="header">
<div class="sub-title"><span class="module-label-in-package">Module</span>&nbsp;<a href="../../../../module-summary.html">org.apache.sis.feature</a></div>
<h1 title="Package org.apache.sis.coverage" class="title">Package org.apache.sis.coverage</h1>
</div>
<hr>
<div class="package-signature">package <span class="element-name">org.apache.sis.coverage</span></div>
<section class="package-description" id="package-description">
<div class="block">Functions that associates positions within a bounded space (its domain) to values (its range).
This package makes no assumption on the domain geometry; it is not necessarily a grid.
For the most common case — a coverage backed by a regular grid (also called "raster") — see
<a href="grid/package-summary.html"><code>org​.apache​.sis​.coverage​.grid</code></a>.</div>
<dl class="notes">
<dt>Since:</dt>
<dd>1.0</dd>
</dl>
</section>
<section class="summary">
<ul class="summary-list">
<li>
<div id="related-package-summary">
<div class="caption"><span>Related Packages</span></div>
<div class="summary-table two-column-summary">
<div class="table-header col-first">Package</div>
<div class="table-header col-last">Description</div>
<div class="col-first even-row-color"><a href="grid/package-summary.html">org.apache.sis.coverage.grid</a></div>
<div class="col-last even-row-color">
<div class="block">A coverage backed by a regular grid.</div>
</div>
</div>
</div>
</li>
<li>
<div id="class-summary">
<div class="table-tabs" role="tablist" aria-orientation="horizontal"><button id="class-summary-tab0" role="tab" aria-selected="true" aria-controls="class-summary.tabpanel" tabindex="0" onkeydown="switchTab(event)" onclick="show('class-summary', 'class-summary', 2)" class="active-table-tab">All Classes and Interfaces</button><button id="class-summary-tab1" role="tab" aria-selected="false" aria-controls="class-summary.tabpanel" tabindex="-1" onkeydown="switchTab(event)" onclick="show('class-summary', 'class-summary-tab1', 2)" class="table-tab">Interfaces</button><button id="class-summary-tab2" role="tab" aria-selected="false" aria-controls="class-summary.tabpanel" tabindex="-1" onkeydown="switchTab(event)" onclick="show('class-summary', 'class-summary-tab2', 2)" class="table-tab">Classes</button><button id="class-summary-tab5" role="tab" aria-selected="false" aria-controls="class-summary.tabpanel" tabindex="-1" onkeydown="switchTab(event)" onclick="show('class-summary', 'class-summary-tab5', 2)" class="table-tab">Exception Classes</button></div>
<div id="class-summary.tabpanel" role="tabpanel">
<div class="summary-table two-column-summary" aria-labelledby="class-summary-tab0">
<div class="table-header col-first">Class</div>
<div class="table-header col-last">Description</div>
<div class="col-first even-row-color class-summary class-summary-tab2"><a href="BandedCoverage.html" title="class in org.apache.sis.coverage">BandedCoverage</a></div>
<div class="col-last even-row-color class-summary class-summary-tab2">
<div class="block">A coverage where all sample values at a given location can be provided in an array of primitive type.</div>
</div>
<div class="col-first odd-row-color class-summary class-summary-tab1"><a href="BandedCoverage.Evaluator.html" title="interface in org.apache.sis.coverage">BandedCoverage.Evaluator</a></div>
<div class="col-last odd-row-color class-summary class-summary-tab1">
<div class="block">Computes or interpolates values of sample dimensions at given positions.</div>
</div>
<div class="col-first even-row-color class-summary class-summary-tab5"><a href="CannotEvaluateException.html" title="class in org.apache.sis.coverage">CannotEvaluateException</a></div>
<div class="col-last even-row-color class-summary class-summary-tab5">
<div class="block">Thrown when a quantity can not be evaluated.</div>
</div>
<div class="col-first odd-row-color class-summary class-summary-tab2"><a href="Category.html" title="class in org.apache.sis.coverage">Category</a></div>
<div class="col-last odd-row-color class-summary class-summary-tab2">
<div class="block">Describes a sub-range of sample values in a sample dimension.</div>
</div>
<div class="col-first even-row-color class-summary class-summary-tab2"><a href="CoverageCombiner.html" title="class in org.apache.sis.coverage">CoverageCombiner</a></div>
<div class="col-last even-row-color class-summary class-summary-tab2">
<div class="block">Combines an arbitrary number of coverages into a single one.</div>
</div>
<div class="col-first odd-row-color class-summary class-summary-tab5"><a href="IllegalSampleDimensionException.html" title="class in org.apache.sis.coverage">IllegalSampleDimensionException</a></div>
<div class="col-last odd-row-color class-summary class-summary-tab5">
<div class="block">Thrown when <a href="SampleDimension.html" title="class in org.apache.sis.coverage"><code>Sample­Dimension</code></a> cannot be created.</div>
</div>
<div class="col-first even-row-color class-summary class-summary-tab5"><a href="MismatchedCoverageRangeException.html" title="class in org.apache.sis.coverage">MismatchedCoverageRangeException</a></div>
<div class="col-last even-row-color class-summary class-summary-tab5">
<div class="block">Thrown when the number of bands or sample dimensions specified to a method
is not equal to the number expected by a coverage.</div>
</div>
<div class="col-first odd-row-color class-summary class-summary-tab5"><a href="PointOutsideCoverageException.html" title="class in org.apache.sis.coverage">PointOutsideCoverageException</a></div>
<div class="col-last odd-row-color class-summary class-summary-tab5">
<div class="block">Thrown when an evaluate method is invoked for a location outside the domain of the coverage.</div>
</div>
<div class="col-first even-row-color class-summary class-summary-tab2"><a href="RegionOfInterest.html" title="class in org.apache.sis.coverage">RegionOfInterest</a></div>
<div class="col-last even-row-color class-summary class-summary-tab2">
<div class="block">Region of interest (ROI) for an operation to apply on a coverage.</div>
</div>
<div class="col-first odd-row-color class-summary class-summary-tab2"><a href="SampleDimension.html" title="class in org.apache.sis.coverage">SampleDimension</a></div>
<div class="col-last odd-row-color class-summary class-summary-tab2">
<div class="block">Describes the data values in a coverage (the range).</div>
</div>
<div class="col-first even-row-color class-summary class-summary-tab2"><a href="SampleDimension.Builder.html" title="class in org.apache.sis.coverage">SampleDimension.Builder</a></div>
<div class="col-last even-row-color class-summary class-summary-tab2">
<div class="block">A mutable builder for creating an immutable <a href="SampleDimension.html" title="class in org.apache.sis.coverage"><code>Sample­Dimension</code></a>.</div>
</div>
<div class="col-first odd-row-color class-summary class-summary-tab5"><a href="SubspaceNotSpecifiedException.html" title="class in org.apache.sis.coverage">SubspaceNotSpecifiedException</a></div>
<div class="col-last odd-row-color class-summary class-summary-tab5">
<div class="block">Thrown when an operation can only be applied on a subspace of a multi-dimensional coverage,
but not such subspace has been specified.</div>
</div>
</div>
</div>
</div>
</li>
</ul>
</section>
</main>
</div>
</div>
</body>
</html>