blob: 8395882d17f1e8173e4688db1156763faee8b35b [file] [log] [blame]
/**************************************************************
*
* Licensed to the Apache Software Foundation (ASF) under one
* or more contributor license agreements. See the NOTICE file
* distributed with this work for additional information
* regarding copyright ownership. The ASF licenses this file
* to you under the Apache License, Version 2.0 (the
* "License"); you may not use this file except in compliance
* with the License. You may obtain a copy of the License at
*
* http://www.apache.org/licenses/LICENSE-2.0
*
* Unless required by applicable law or agreed to in writing,
* software distributed under the License is distributed on an
* "AS IS" BASIS, WITHOUT WARRANTIES OR CONDITIONS OF ANY
* KIND, either express or implied. See the License for the
* specific language governing permissions and limitations
* under the License.
*
*************************************************************/
#ifndef _SVX_ANCHORID_HXX
#define _SVX_ANCHORID_HXX
enum SvxAnchorIds
{
SVX_OBJ_AT_CNTNT = 0x01, //Absatzgebundener Rahmen
SVX_OBJ_IN_CNTNT = 0x02, //Zeichengebundener Rahmen
SVX_OBJ_PAGE = 0x04, //Seitengebundener Rahmen
SVX_OBJ_AT_FLY = 0x08 //Rahmengebundener Rahmen
// SVX_OBJ_AUTO_CNTNT = 0x10 //Automatisch positionierter, absatzgebundener Rahmen
};
#endif